#
verilator
Here are 83 public repositories matching this topic...
qrqiuren
commented
Apr 28, 2021
Thanks for taking the time to report this.
What would you like added/supported?
// File: dly_warning.sv
// verilator lint_off ASSIGNDLY
module dly_warning (
input logic a_in,
input logic [2:0] delaycw,
output logic a_out
);
timeunit 1ns;
timeprecision 1ns;
time dly;
assign dly = 5 * delaycw;
assign #dly a_out = a_in; // Warning ASS
A small, light weight, RISC CPU soft core
-
Updated
Jul 26, 2021 - Verilog
RISC-V CPU Core (RV32IM)
-
Updated
May 3, 2020 - Verilog
An abstraction library for interfacing EDA tools
fpga
simulation
vhdl
eda
verilog
xilinx
synthesis
vivado
altera
systemverilog
icestorm
lattice
icarus-verilog
modelsim
ghdl
yosys
verilator
riviera-pro
fossi
spyglass
-
Updated
Jul 28, 2021 - Python
32-bit Superscalar RISC-V CPU
linux
asic
cpu
fpga
verilog
xilinx
superscalar
in-order
risc-v
branch-prediction
coremark
rv32i
verilator
riscv-linux
rv32im
artix-7
pipelined-processors
-
Updated
Jul 19, 2021 - Verilog
CMake, SystemVerilog and SystemC utilities for creating, building and testing RTL projects for FPGAs and ASICs.
cmake
asic
fpga
cpp
verification
rtl
verilog
xilinx
vivado
systemverilog
systemc
unit-tests
hdl
modelsim
uvm
verilator
quartus
testing-rtl
-
Updated
Nov 25, 2019 - SystemVerilog
A simple, basic, formally verified UART controller
-
Updated
Jan 23, 2021 - Verilog
Verilog HDL/SystemVerilog/Bluespec SystemVerilog support for VS Code
ctags
vscode
verilog
vivado
systemverilog
icarus-verilog
modelsim
hacktoberfest
verilog-hdl
iverilog
bluespec-systemverilog
verilator
language-server-client
systemverilog-support
svls
-
Updated
Jul 3, 2021 - TypeScript
A utility for Composing FPGA designs from Peripherals
-
Updated
Jun 10, 2021 - C++
SD-Card controller, using a SPI interface that is (optionally) shared
-
Updated
Jan 25, 2021 - Verilog
A wishbone controlled scope for FPGA's
-
Updated
Jan 26, 2021 - Verilog
A bare bones, basic, ZipCPU system designed for both testing and quick integration into new systems
-
Updated
May 24, 2021 - Verilog
Wishbone controlled I2C controllers
-
Updated
Oct 3, 2019 - C++
Digital Interpolation Techniques Applied to Digital Signal Processing
-
Updated
Jan 25, 2021 - Verilog
A collection of debugging busses developed and presented at zipcpu.com
-
Updated
Apr 2, 2020 - Verilog
Synthesizable real number library in SystemVerilog, supporting both fixed- and floating-point formats
simulation
verilog
vcs
synthesis
vivado
systemverilog
fixed-point
floating-point
icarus-verilog
iverilog
icarus
verilator
xrun
synthesizable
xcelium
irun
ncsim
-
Updated
Jan 13, 2021 - SystemVerilog
Quasar 2.0: Chisel equivalent of SweRV-EL2
scala
processor
chisel
riscv
rtl
chisel3
open-source-hardware
verilator
asic-verification
axi4
ahb-lite
asic-design
swerv
swerv-el2
-
Updated
Apr 13, 2021 - Scala
Improve this page
Add a description, image, and links to the verilator topic page so that developers can more easily learn about it.
Add this topic to your repo
To associate your repository with the verilator topic, visit your repo's landing page and select "manage topics."
If this is not the case a page fault should be generated. Right now we generate an instruction access fault.
https://github.com/pulp-platform/ariane/blob/ad70ce1f30dad539e5a365ffe71a02aaf20b397e/src/load_store_unit.sv#L339